(eBook PDF) Parallel Computer Organization and Design

bugrasatine 11 views 51 slides Mar 02, 2025
Slide 1
Slide 1 of 51
Slide 1
1
Slide 2
2
Slide 3
3
Slide 4
4
Slide 5
5
Slide 6
6
Slide 7
7
Slide 8
8
Slide 9
9
Slide 10
10
Slide 11
11
Slide 12
12
Slide 13
13
Slide 14
14
Slide 15
15
Slide 16
16
Slide 17
17
Slide 18
18
Slide 19
19
Slide 20
20
Slide 21
21
Slide 22
22
Slide 23
23
Slide 24
24
Slide 25
25
Slide 26
26
Slide 27
27
Slide 28
28
Slide 29
29
Slide 30
30
Slide 31
31
Slide 32
32
Slide 33
33
Slide 34
34
Slide 35
35
Slide 36
36
Slide 37
37
Slide 38
38
Slide 39
39
Slide 40
40
Slide 41
41
Slide 42
42
Slide 43
43
Slide 44
44
Slide 45
45
Slide 46
46
Slide 47
47
Slide 48
48
Slide 49
49
Slide 50
50
Slide 51
51

About This Presentation

(eBook PDF) Parallel Computer Organization and Design
(eBook PDF) Parallel Computer Organization and Design
(eBook PDF) Parallel Computer Organization and Design


Slide Content

Read Anytime Anywhere Easy Ebook Downloads at ebookluna.com
(eBook PDF) Parallel Computer Organization and
Design
https://ebookluna.com/product/ebook-pdf-parallel-computer-
organization-and-design/
OR CLICK HERE
DOWLOAD EBOOK
Visit and Get More Ebook Downloads Instantly at https://ebookluna.com

Instant digital products (PDF, ePub, MOBI) available
Download now and explore formats that suit you...
(eBook PDF) Parallel Computer Organization and Design
https://ebookluna.com/product/ebook-pdf-parallel-computer-
organization-and-design-2/
ebookluna.com
(eBook PDF) Computer Organization and Design ARM Edition:
The Hardware Software Interface
https://ebookluna.com/product/ebook-pdf-computer-organization-and-
design-arm-edition-the-hardware-software-interface/
ebookluna.com
(eBook PDF) Computer Organization and Architecture10th
Global Edition
https://ebookluna.com/product/ebook-pdf-computer-organization-and-
architecture10th-global-edition/
ebookluna.com
(eBook PDF) Computer Organization & Architecture: Themes
and Variations
https://ebookluna.com/product/ebook-pdf-computer-organization-
architecture-themes-and-variations/
ebookluna.com

(eBook PDF) The Essentials of Computer Organization and
Architecture 4th
https://ebookluna.com/product/ebook-pdf-the-essentials-of-computer-
organization-and-architecture-4th/
ebookluna.com
Essentials of Computer Organization and Architecture 5th
Edition (eBook PDF)
https://ebookluna.com/product/essentials-of-computer-organization-and-
architecture-5th-edition-ebook-pdf/
ebookluna.com
(eBook PDF) Essentials of Computer Organization and
Architecture 5th Edition
https://ebookluna.com/product/ebook-pdf-essentials-of-computer-
organization-and-architecture-5th-edition/
ebookluna.com
Organization Theory and Design 12th Edition (eBook PDF)
https://ebookluna.com/product/organization-theory-and-design-12th-
edition-ebook-pdf/
ebookluna.com
(eBook PDF) Organization Theory And Design 12th Edition
https://ebookluna.com/product/ebook-pdf-organization-theory-and-
design-12th-edition/
ebookluna.com

MICHEL DUBOIS
University of Southern California, USA
MURALI ANNAVARAM
University of Southern California, USA
PER STENSTR¨OM
Chalmers University of Technology, Sweden
Parallel Computer
Organization
and Design

in order to understand the more complex hardware issues covered in the microarchitecture
section. Basic topics on instruction sets and basic pipeline and memory concepts have been
included in the book to make it self-contained. It is necessary to understand the working of a
modern microarchitecture as it affects multiprocessor behavior. Furthermore, prior exposure to
computer programming is, of course, necessary.
Book outline
The book is self-contained and we have made every attempt to make each chapter self-contained
as well, even at the risk of being repetitious. It is organized in nine chapters. The first chapter (the
introduction) gives a perspective on the field of computer architecture. The main components
of this introduction are an overview of trends in processors, memories, and interconnects, a
coverage of performance issues (mainly how to evaluate computer systems), and the impact of
technology on future architectures.
Understanding the technological landscape in some level of detail is very important since so
many design decisions in architecture today are guided by the impact of technology. Chapter 2 is
a refresher on CMOS technology and the relevant issues connected with it. Some of this material
can be skipped by students who have a background in VLSI design. It is mostly intended for
computer science students who may have a very cursory knowledge of electrical engineering
and CMOS technology. The knowledge of these key technology aspects is not a requirement
for understanding the rest of the book, but it does help students understand why architecture is
the way it is today and why some design decisions are made. This chapter is very different in
nature from the rest of the book, as it is purely about technology.
Chapters 3, 4, and 6 describe the design of the basic building blocks of parallel systems:
processors, memory, and interconnects. Chapter 3 covers microarchitectures. Instruction sets
and basic machine organizations (such as the 5-stage pipeline) are briefly overviewed. In the
process, the set of instructions and the basic ISA mechanisms adopted in the rest of the book are
laid out. Special emphasis is given to exceptions and the way to treat them because exceptions
have a great impact on how parallelism can be exploited in microarchitectures. A lot of this
material can be skipped by students who already have some background in architecture. The
major part of this chapter is the exploitation of instruction-level parallelism through various
paradigms involving both hardware and software. At first, design issues of statically scheduled
processors, including superscalar processors, which are extensions of the 5-stage pipeline,
are presented. Since they have no mechanism to optimize the scheduling of instructions and
take advantage of ILP, compiler technology is essential to their efficiency. Dynamic out-of-
order (OoO) processors are able to re-schedule (after the compiler) instructions dynamically in
large execution windows of hundreds of instructions. The evolution of OoO processor designs
is presented step by step, starting with the Tomasulo algorithm and ending with speculative
processors with speculative scheduling, the most advanced OoO architecture as of today. Out-
of-order processors are at one end of the spectrum of processor architecture because their
scheduling mechanism is dynamic. The problem with them is that their complexity and power
consumption grow rapidly with the number of instructions executed in parallel. At the other end

of the parallel microarchitecture spectrum lie very long instruction word (VLIW) architectures.
In such architectures, all decisions (including when to fetch, decode, and start instruction
execution) are all made at compile time, which greatly reduces hardware complexity and
power/energy consumption. Possibly architectures should adopt a compromise between the two
extremes, and this was attempted in so-called EPIC (explicitly parallel instruction computing)
architectures. Finally fine-grain parallelism is exploited in vector microarchitectures. Vector
processing is efficient from both a performance and a power/energy point of view for multimedia
and signal processing applications.
Chapter 4 is about the fundamental properties of memory hierarchies at the hardware level.
Highly concurrent memory hierarchies are needed to feed parallel microarchitectures with data
and instructions. This includes lockup-free cache design and software/hardware prefetching
techniques. These techniques must ensure that memory behavior remains correct. Another factor
important to the understanding of parallel architecture is the virtual memory system. Because
of virtual memory, modern architectures must be capable of taking precise exceptions, and
multiprocessors must include mechanisms to enforce the coherence of the structures supporting
virtual memory in each processor (covered in Chapter 5).
Fundamentals of interconnection networks are the topic of Chapter 6. Interconnection net-
works connect system components (system area networks or SANs) or on-chip resources
(on-chip networks or OCNs) in chip multiprocessors. Since allowing parallel access among
components is critical to the performance of parallel architectures, the design of interconnec-
tion networks is critical to performance and power consumption. The design space is, however,
huge. Chapter 6 provides a comprehensive overview of design principles for interconnection
networks, including performance models, switching strategies, network topologies, routing
algorithms, and the architecture of switches.
Chapters 5, 7, and 8 are dedicated to multiprocessors. In Chapter 5 the basic architectures
and mechanisms of message-passing and shared-memory multiprocessors are exposed. At first
the programming models and basic application programming interfaces are explained through
program examples, which allows the reader to understand the types of mechanisms needed
in the architecture. The basic architectural support required by message-passing architectures
is presented in layers, from the various forms of message-passing primitives, to the basic
protocol exchanges to implement them, to the basic hardware support to accelerate them. The
balance of Chapter 5 focuses on the architectures of shared-memory systems. There are several
possible computer organizations for shared-memory systems. One common denominator of
these organizations is that, for economical reasons, multiprocessors must be built with off-
the-shelf microprocessors, and these OTS microprocessors have each their own set of caches.
Every processor in a shared-memory system and every core in a chip multiprocessor have private
caches for instructions, for data and for virtual address translations. Therefore mechanisms must
exist to maintain coherence among these structures. The chapter includes bus-based systems,
and systems with distributed shared memory (cc-NUMAs and COMAs).
While the shared-memory coverage in Chapter 5 is about architectural mechanisms,
Chapter 7 addresses logical properties of shared-memory multiprocessors, including synchro-
nization, coherence, and the memory consistency model. There are close and subtle interactions

among these three features. Synchronization primitives and mechanisms are critical to correct
execution of multi-threaded programs and must be supported in hardware. Coherence is needed
between multiple copies of the same address in various caches and memory buffers. The ulti-
mate correctness property of shared-memory systems is the memory consistency model, which
dictates the possible dynamic interleavings of memory accesses. Concrete implementations
of memory-consistency models are described in the contexts of both statically and dynami-
cally scheduled processors. Chapter 7 is the most theoretical chapter in the book. However, no
theoretical background is assumed.
Chapter 8 addresses chip multiprocessors (CMPs). Because of their tight integration and low
latency communication capabilities, CMPs have the potential to enable new, easier, and more
efficient programming models. In a CMP environment, CPUs are relatively inexpensive and
can be used for all kinds of new, innovative modes of computation. This chapter covers such
diverse topics as CMP architectures, core multi-threading, transactional memory, speculative
thread parallelization, and assisted execution.
Finally, Chapter 9 focuses on quantitative evaluation methods for computer architecture
designs. Most design decisions in computer architecture are based on a complex set of trade-offs
between area, performance, power, and reliability. Hence, any design that intuitively improves
on prior work must be thoroughly evaluated to quantify the improvement. As such, it is necessary
for students and practitioners to understand quantitative methods for design space exploration.
We cover a broad range of topics such as simulation methodologies, sampling techniques, and
workload characterization approaches in this chapter.

1 Introduction
For the past 20 years we have lived through the information revolution, powered by the explo-
sive growth of semiconductor integration and of the internet. The exponential performance
improvement of semiconductor devices was predicted by Moore’s law as early as the 1960s.
There are several formulations of Moore’s law. One of them is directed at the computing power
of microprocessors. Moore’s law predicts that the computing power of microprocessors will
double every 18–24 months at constant cost so that their cost-effectiveness (the ratio between
performance and cost) will grow at an exponential rate. It has been observed that the computing
power of entire systems also grows at the same pace. This law has endured the test of time
and still remains valid today. This law will be tested repeatedly, both now and in the future, as
many people see today strong evidence that the “end of the ride” is near, mostly because the
miniaturization of CMOS technology is fast reaching its limit, the so-calledCMOS endpoint.
Besides semiconductor technology, improved chip designs have also fueled the phenomenal
performance growth of microprocessors over the years. Historically, with each new process
generation, the logic switching speed and the amount of on-chip logic have both increased
dramatically. Faster switching speeds lead to higher clock rates. Aggressive chip designs also
contribute to higher clock rates by improving the design of circuits or by pipelining the steps in
the execution of an instruction. With deeper pipelines, the function performed in each pipeline
stage takes fewer gate delays. More importantly, the dramatic increase in the amount of on-chip
resources over the years gives the chip architect new opportunities to deploy various techniques
to improve throughput, such as exploiting parallelism at all levels of the hardware/software
stack. How best to use the ever-increasing wealth of resources provided by technology falls into
the realm of computer architecture.
Computer architecture is a relatively young engineering discipline. The academic and
research field of computer architecture started in the early 1970s with the birth of the very
successful International Conference on Parallel Processing (ICPP) and International Sympo-
sium on Computer Architecture (ISCA). Obviously parallel processing was already a major
focus of computer architecture at that time. Actually in the 1980s and at the beginning of the
1990s parallel processing and parallel computer architecture were very popular topics among
researchers in the field. Academic researchers were promoting scalable parallel systems with
millions of slow, cheap processing elements. Then as now, the demise of systems based on a
single central processing unit was seen as inevitable and fast approaching. Eventually, indus-
try decided otherwise, and towards the middle of the 1990s parallel systems were eclipsed
by the so-called “killer-micro.” The years that followed saw an explosion in the speed and

2 Introduction
capabilities of microprocessors built with a single CPU. With the unrelenting success of Moore’s
law, designers can exploit rapidly increasing transistor densities and clock frequencies. The
increased transistor count was in the past utilized to design complex single out-of-order pro-
cessors capable of processing hundreds of instructions in any given cycle. Rather than dealing
with the complexity of programming parallel systems, industry embraced complex out-of-order
processors with ever-increasing clock speeds because they provided the path of least resistance
to fulfill the ever-growing expectations of computer users. In the commercial arena, multipro-
cessors were merely seen as extensions to uniprocessor systems, offering a range of machines
with various cost/performance ratios.
This situation rapidly changed in the early years of the twenty-first century. Technological
trends shifted in favor of processors made of multiple CPUs or cores. Issues such as power,
complexity, and the growing performance gap between processors and main memory have
restored an acute interest in parallel processing and parallel architectures, both in industry
and in academia. Nowadays the consensus in the computer architecture community is that all
future microarchitectures will have to adopt some form of parallel execution. Generically, this
emerging form of microarchitecture is referred to as chip multiprocessors (or CMPs), and is
one of the major focal points of this book.
Conceiving the design of a microprocessor, a part of a microprocessor, or an entire computer
system is the role of the computer architect. Although Moore’s law applies to any device or
system, and although many techniques covered in this book are applicable to other types of
microchips such as ASICs, this book specifically focuses on instruction processing systems
and microprocessors in which the chip or system is designed to execute an instruction set as
effectively as possible.
1.1 WHAT IS COMPUTER ARCHITECTURE?
.............................................................................................
Computer architecture is an engineering or applied science discipline whose focus is the design
of better computers, given technology constraints and software demands. In the past, computer
architecture was synonymous with the design of instruction sets. However, over time, the
term has evolved to encompass the hardware organization of a computer, and the design of
a microprocessor or of an entire system down to the hardware component level. In this book
we adopt by default the modern definition of “computer architecture” to mean the “hardware
organization and design of computers.” Whenever we refer to the instruction set we will
explicitly use the term “instruction set architecture” or ISA. The design of instruction sets is, at
this point of history, quite settled, and only a few instruction sets are still supported by industry.
Although there may be additions to current ISAs from time to time, it is extremely unlikely
that new instruction sets will again be created from scratch because the cost of developing a
brand new instruction set and its implementations is astronomical. In this book, we cover ISAs
rather cursorily since our primary target is not ISAs but rather parallel computer architectures
that implement an ISA fast and correctly, within cost and technological constraints.

1.1 What is computer architecture? 3
Application
Compiler/libraries of macros and procedures
Operating system
Instruction set (ISA)
Computer system organization
Circuits (implementation of hardware functions)
Semiconductor physics
Software
Hardware
Computer
architecture
Figure 1.1.Layered view of
computer systems.
The design of computer systems is very complex and involves competence in many different
engineering and scientific fields. The only way to manage this complexity is to split the design in
several layers, so that engineers and scientists in different fields can each focus their competence
into a particular layer. Figure1.1illustrates the layered view of modern computer systems. Each
layer relies on the layer(s) below it. An expert in a particular application field writes application
programs in a high-level language such as Fortran, C++, or Java using calls to libraries
for complex and common functions at the user level and to the operating system for system
functions such as I/O (input/output) and memory management. The compiler compiles the
source code to a level that is understandable by the machine (i.e., object or machine code) and
the operating system (through operating system calls). Compiler designers just have to focus
on parsing high-level language statements, on optimizing the code, and on translating it into
assembly or machine code. Object code is linked with software libraries implementing a set of
common software functions. The operating system extends the functionality of the hardware
by handling complex functions in software and orchestrates the sharing of machine resources
among multiple users in a way that is efficient, safe, and transparent to each user. This is the
domain of kernel developers. Underneath these complex software layers lies the instruction set
architecture, or ISA.
The ISA is a particularly important interface. It separates software from hardware, computer
scientists from computer/electrical engineers. The implementation of the ISA is independent
of all the software layers above it. The goal of the computer architect is to design a hardware
device to implement the instruction set as efficiently as possible, given technological constraints.
The computer architect designs at the boundary between hardware and software and must
be knowledgeable in both. The computer architect must understand compilers and operating
systems and at the same time must be aware of technological constraints and circuit design
techniques.
System functions may be implemented in hardware or in software. For example, some types
of exceptions, such as translation lookaside buffer misses in virtual memory systems, may be
implemented in hardware or in kernel software. Some components of cache coherence may
also be implemented in hardware or in software. Using software to implement system functions
is a flexible approach to simplifying hardware. On the other hand, software implementations
of system functions are usually slower than hardware implementations. Once the hardware

4 Introduction
Applications
Hardware
systems
Performance opportunities
Performance requirements Figure 1.2.Synergy between
application growth and hardware
performance.
architecture has been specified, its actual implementation is left to circuit engineers, although
iterations are possible. Finally the hardware substrate is conceived and developed by process
and manufacturing engineers and by material scientists.
By separating hardware layers from software layers, the ISA has historically played a critical
role in the dramatic success of the computer industry since its inception. In the 1950s and early
1960s, every new computer was designed with a different instruction set. In fact, the instruction
set was the defining hallmark of every computer design. The downside of this strategy was
that software was not portable from one machine to the next. At that time compilers did not
exist, and all programs were written in assembly code. In 1964 IBM transformed itself into
the behemoth computer company we know it to be today by introducing its System/360 ISA.
From then on, IBM guaranteed that all its future computers would be capable of running
all software written for System/360 because they would support all System/360 instructions
forever. This guarantee calledbackward compatibilityensured that all binary codes written or
compiled for the IBM System/360 ISA would run on any IBM/360 system forever and software
would never again become obsolete. The IBM 360 instruction set might expand in the future –
and it did – but it would never drop instructions nor change the semantic or side effects of
any instruction. This strategy has endured the test of time, even if most programs today are
written in high-level languages and compiled into binaries, because the source code of binaries
may be lost and, moreover, software vendors often deliver object code only. Over the years, as
it expanded, System/360 was renamed System/370, then System/390, and today is known as
System z.
Because instruction sets do not change much over time, the function of the computer architect
is to build the best hardware architecture to meet the ever-growing demands of software sys-
tems. Figure1.2illustrates the synergy between growing software requirements and hardware
performance. Users always want more from the hardware (e.g., processing speed, amount of
memory, or I/O bandwidth) as their applications grow. On the other hand, as hardware evolves,
it exposes new opportunities to software developers, who rapidly take advantage of them. This
synergy has worked wonders for Intel and Microsoft over the years.
We are at an important juncture in this self-perpetuating cycle. The current evolution of
microarchitectures dictates that software must become more parallel in order to take advan-
tage of new hardware opportunities offered by multi-core microprocessors. Today’s tech-
nology dictates that the path to higher performance must be through chip multiprocessors
(CMPs). The development of effective parallel software is probably the biggest challenge facing
future computing systems today, even more so than all the technological challenges. Software
must adapt to take advantage of multiprocessor architectures. Parallel programming and the

1.2 Components of a parallel architecture 5
Core0
Shared cache
Core1
Off-chip
cache
Multi-core microprocessor
Graphics
processor
North
Bridge
South
Bridge
Main memory
(DRAM)
Network
interface
Slow I/O
devices
Disk
PCI bus
Frontside bus
Backside
bus
Network
Figure 1.3.Basic PC
architecture.
compiling of sequential code into parallel code have been attempted time and again in the past.
Unless software can harness and unleash the power of multi-core, multi-threaded systems, the
information revolution may come to an end.
Because of technological constraints, hardware cannot sustain the exponential growth of
single-threaded performance at the rate envisioned by Moore’s law. Future microprocessors will
have multiple cores running multiple threads in parallel. In future, single-thread performance
will, on average, grow at a more modest pace, and Moore’s law as applied to computing power
will be met by running more and more threads in parallel in every processor node.
1.2 COMPONENTS OF A PARALLEL ARCHITECTURE
.............................................................................................
The architecture of a basic personal computer (PC), one of the simplest parallel computers,
is shown in Figure1.3. The North Bridge chip acts as a system bus connecting a (multi-
core) processor, main memory, and I/O (input/output) devices. The PCI (Peripheral Component
Interconnect) bus is the I/O bus connecting high-speed I/O interfaces to disk, network, and slow
I/O devices (such as keyboard, printer, and mouse) to the North Bridge. The South Bridge acts
as a bus for low-bandwidth peripheral devices such as printers or keyboards.
A generic high-end parallel architecture is shown in Figure1.4. Several processor nodes
are connected through an interconnection network, which enables the nodes to transmit data
between themselves. Each node has a (possibly multi-core) processor (P), a share of the main
memory (M), and a cache hierarchy (C). The processor nodes are connected to the global
interconnection – a bus or a point-to-point network – through a network interface (NI). Another
important component of a computer system is I/O; I/O devices (such as disks) are often
connected to an I/O bus, which is interfaced to the memory in each processor node through the
interconnect. Processor, memory hierarchy, and interconnection are critical components of a
parallel system.

6 Introduction
M
P
Interconnection
C
NI
M
P
C
NI
M
P
C
NI
I/O bus
Disk
System busses
Figure 1.4.Generic
multiprocessor system
with distributed
memory.
1.2.1 Processors
First, in this era of chip multiprocessors and multi-threaded cores, a few basic definitions are in
order.
Aprogram(sometimes referred to ascode,code fragment,or code segment) is a static set of
statements written by the programmer to perform the computational steps of an algorithm. A
processorthreadis an abstraction which embeds the execution of these computational steps.
In some sense, to use a culinary analogy, a program is to a process what a recipe is to cooking.
At times the words process and thread are used interchangeably, but usually the management of
threads is lighter (has less overhead) than the management of processes. In this book, we will
mostly use the word thread.
Threads run on cores or CPUs (central processing units). A core or CPU is a hardware
entity capable of sequencing and executing the instructions of a thread. Some cores aremulti-
threadedand can execute more than one thread at the same time. In this case, each thread runs
in ahardware thread contextin the core. Microprocessors or processors are made of one or
multiple cores. A multi-core microprocessor is also sometimes called a chip multiprocessor
or CMP. A multiprocessor is a set of processors connected together to execute a common
workload.
Nowadays, processors are mass-produced, off-the-shelf microprocessors comprising one
or several cores and several levels of caches. Moreover, various system functions, such
as memory controllers, external cache directory, and network interfaces, may be migrated
on-chip in order to facilitate the integration of entire systems with a minimum number of
chips.
Several factors affect core performance. The major factor is the clock frequency. Because
cores are pipelined, the clock frequency dictates the rate at which instructions are fetched and
executed. In the past the performance of microprocessors was mostly dictated by their clock
rates. The possible clock rate of a processor is determined by three main factors:
The technology node. With every new process generation, the switching speed of every
transistor increases by 41%, as a direct result of process shrinkage. The impact of this
factor on the clock rate will be blunted in future by wire delays because the speed of signal
transmission on wires does not scale like transistor switching speed.
The pipeline depth. With deeper pipelines (i.e., more pipeline stages) the number of gate
delays per stage decreases because the function implemented in each stage is less complex.
Historically the number of gate delays per pipeline stage has dropped by roughly 25% in

Visit https://testbankfan.com
now to explore a rich
collection of testbank or
solution manual and enjoy
exciting offers!

1.2 Components of a parallel architecture 7
0
0.5
1
1.5
2
2.5
3
3.5
4
4.5
5
1990 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008
Year
Frequency (GHz)
Clock r ate
EXP 1.19
EXP 1.49
Figure 1.5.Highest clock rate of Intel processors from 1990 to 2008.
every process generation. From now on it will be difficult to increase pipeline depth because
it is difficult to implement useful stage functions in fewer than ten gate delays.
Circuit design. Better circuits are designed to improve the delay of gates and their
interconnection.
Figure1.5displays the highest clock rate of Intel processors since 1990. The curve for the
clock rate is compared to two exponentials, one increasing by 19% per year (doubling every 48
months) and one increasing by 49% per year (doubling every 21 months). The 19% curve shows
frequency increases resulting solely from technology scaling (41% per generation every two
years). This would be the rate of frequency improvement if the same hardware had been mapped
to each new technology over time. From 1990 to 2002, the clock rate grew at a much more
rapid rate, doubling in less than two years (the 49% curve). After 2002, clock rate increases
started to taper off, and the rates peaked in 2005. Before 2003, clock rates of 10 GHz seemed
to be around the corner. At that time some were predicting 10 GHz before 2010. Actually, if
the clock rate had stayed on the 49% curve, it would have been more than 30 GHz in 2008!
In November 2004 Intel canceled its announced 4 GHz Pentium 4 processor, which had been
marred by delays, and changed tack to multi-core microarchitectures. This announcement was
perceived as a major turning point in the microprocessor industry at large, a tectonic shift away
from muscled uniprocessor pipelined designs to multi-core microarchitectures.
Architecture played a critical role in the large frequency gains observed between 1990 and
2002. These frequency gains were to a large extent due to the advent of very deep pipelines in
the Pentium III and Pentium 4 microarchitectures. To sustain pipelines with 10 to 20 stages, vast
amounts of parallelism had to be extracted from the instruction stream. Architectural innovations
covered in this book, such as branch prediction, register renaming, re-order buffer, lock-up free
caches, and memory disambiguation, were key to efficient out-of-order, speculative execution,

8 Introduction
1E+00
1E+01
1E+02
1E+03
1E+04
1E+05
1E+06
1E+07
1E+08
1E+00
1E+01
1E+02
1E+03
1E+04
Number of transistors (000s)
Feature size (nm)
Year
Feature size
No. of transistors
Figure 1.6.Feature size scaling in Intel microprocessors.
and to the exploration of massive amounts of instruction-level parallelism (ILP). Without these
innovations, it would have been futile to pipeline the processor deeper.
There is a strong argument that the clock rate gains of the past cannot be sustained in the
future, for three reasons. First it will be difficult to build useful pipelines with fewer than ten
levels of logic in every stage, a limit we have already reached. Second, wire delays, not transistor
switching speeds, will dominate the clock cycle in future technologies. Third, circuits clocked
at higher rates consume more power, and we have reached the limits of power consumption
in single-chip microprocessors. Figure1.5empirically validates this argument: since 2002, the
clock rate improvements of microprocessors have mostly stalled.
The contributions of computer architecture go beyond simply sustaining clock rate improve-
ments. Instruction throughput can also be improved by better memory system designs, by
improving the efficiency of all parts of the processor, by fetching and decoding multiple
instructions per clock, by running multiple threads on the same core (a technique called core
multi-threading), or even by running threads on multiple cores at the same time. Besides higher
frequencies, each new process generation offers a bounty of new resources (transistors and pins)
which can be exploited by the computer architect to improve performance further. An obvious
and simple way to exploit this growing real estate is to add more cache space on chip. However,
this real estate can also be utilized for other purposes and offers the computer architect new
opportunities, a sandbox in which to play so to speak.
Figure1.6shows the evolution of feature sizes in Intel technologies from 1971 to 2008
extrapolated to 2020. For the past 20 years a new process generation has occurred every two
years, and the feature size has shrunk at the rate of 15% per year, i.e., it is reduced by 30%
every generation or halved every five years. Figure1.6also shows the maximum number of

1.2 Components of a parallel architecture 9
Table 1.1Cost and size of memories in a basic PC (2008)
Memory Size Marginal cost Cost per MB Access time
L2 cache (on chip) 1 MB $20/MB $20 5 ns
Main memory 1 GB $50/GB 5c 200 ns
Disk 500 GB $100/500 GB 0.02c 5 ms
transistors in Intel microprocessor chips in each year from 1971. This number factors in the
increase in transistor density and in die area. The figure shows that the amount of on-chip real
estate has doubled every two years; in 2008, one billion transistors was reached. If the trend
continues, we will have 100 billion transistors on a chip by 2020. However, let’s remember that
trends only last until they end, and can only be established in the past, as the frequency trends
of the past demonstrate.
Finding ways to exploit 100 billion transistors in the best way possible is one of the biggest
challenges of the computer architecture research field in the next ten years. The most probable
and promising direction is to implement multiprocessors on a chip, possibly large-scale ones,
with hundreds or even thousands of cores.
1.2.2 Memory
The memory system comprises caches, main (primary) memory, and disk (secondary) memory.
Any data or instruction directly accessible by the processor must be present in main memory.
Perennial problems in computer systems are the speed gaps between main memory (access
times in the 100 nanosecond range) and processor (clocked at several gigahertz), and between
disk (access time in milliseconds) and processor.
The design of a memory system is dictated by its cost and by physical constraints. Physical
constraints are of two types. First, a computer system needs a very large non-volatile memory
to store permanent files. Most significant semiconductor memories such as main memory and
caches are volatile and their content is lost on power down. This functionality is commonly
fulfilled by hard disk drives (HDDs). Although more costly, solid-state disks (SSDs) such as
flash memories are often deployed as well in systems. Second, the access time of any type of
memory increases with its size. This will be particularly true in future technologies, because
access times to semiconductor memories are dominated by wire delays. With larger memories,
address decoding, address line (row) propagation, and bit line (column) propagation all take
more time. The cost and size of memories at different levels for a basic PC in 2008 are listed in
Table1.1.
The goal of a memory hierarchy is to give the illusion to the processor of a monolithic
memory system that has an average memory access time similar to the processor cycle time
and, at the same time, has the size of the disk space and a cost per bit close to that of disk
memory.

10 Introduction
0
100
200
300
400
500
600
Memory wall
Year
actual
model
DRAM
Figure 1.7.Memory
wall (DRAM access
time/CPU clock time).
Main memory
The speed gap between main memory (built with DRAMs) is large enough that it can affect
processor performance. For example, if the processor is clocked at l GHz and the main memory
access time is 100 ns, more than 100 instructions could be executed while the processor is
waiting on an access. A processor, however complex it is and however fast it is clocked, cannot
execute instructions faster than the memory system can deliver instructions and data to it.
Historically, the gap between processor cycle time and main memory access time has been
growing at an alarming rate, a trend called thememory wall. Between higher clock rates
and computer architecture innovations, microprocessor speed has historically increased by
more than 50% per year. On the other hand, DRAM performance has increased at the much
lower rate of about 7% per year. Note that the access time to DRAM includes not only the
access time of the DRAM chips themselves, but also delays through the memory bus and
controllers.
Figure1.7illustrates the memory wall over time. Here the memory wall is defined as the ratio
of main memory access time and processor cycle time. In 1990, the Intel i486 was clocked at
25 MHz and access to DRAM was of the order of 150 ns, a factor of 4. Thus the “height” of the
memory wall was 4. If processor performance had kept improving at the rate of 49% every year
from 1990 on, then the height of the memory wall would have surged by a staggering factor
of 400, to 1600 by 2008. However, this obviously was not the case. Rather, processor clock
rates peaked while DRAM speed kept improving at a modest pace. Because of this, the actual
performance gap between memory and processors is only a factor of 40 larger in 2008 than it
was in 1990. Figure1.7shows that at around 2002 the memory wall departed from its historical
trends to peak and has even dropped since 2003.
Historically, the lackluster performance of DRAM memories has been offset by a cache
hierarchy between the processor and main memory and by mechanisms to tolerate large cache

1.2 Components of a parallel architecture 11
1
2
4
8
16
32
64
128
256
512
1024
2048
Time (ms)
Year
Transfer time (4 KB)
Access time
Total time
Figure 1.8.Disk access
and transfer times.
miss latencies in the processor and in the cache hierarchy. The cache hierarchy is made of
several levels of caches of different sizes and access times. The cache hierarchy relies on the
locality property of memory accesses.
Clearly the gap between main memory and processor speed was a serious problem up until
the recent past. But, if current trends continue, the height of the memory wall will not get any
worse in the future, or, at the very least, its growth rate will be drastically less than it was in the
past, and the current consensus is that the memory wall problem is well under control. Rather,
with the advent of chip multiprocessors and aggressive optimizations to hide the latency of
cache misses in processor nodes, memory bandwidth (the number of memory accesses that can
be fulfilled per time unit by the memory system) is quickly becoming the main problem, rather
than memory access latency.
While the speed of DRAMs does not improve much with time, the number of bits per
DRAM chip has increased historically by a factor of 4 every three years. In 1977 a DRAM chip
contained l Kbit. Capacities of l Mbit and l Gbit per chip were reached in 1992 and 2007. If the
trend continues, one trillion (10
12
) bits per DRAM chip will be reached by 2021.
Disk
The time taken by a disk access is the sum of two components: the access time (seek time plus
latency) independent of the transfer size, and the transfer time, the time to transfer the data,
proportional to the transfer size. The seek time is the time for the disk head to reach the track
on the disk, and the latency is the time to reach the first record on the track. Both latency and
transfer times depend on the rotation speed of the disk. Figure1.8shows the average access
time and transfer time for a block of 4 Kbytes. In the past, the time taken by a disk access was
dominated by the transfer time. However, the transfer time has dropped considerably over the
years, at the rate of 40% per year, whereas the access time has decreased at a more modest rate

Random documents with unrelated
content Scribd suggests to you:

Tätä harjoittamatonta joukkoa johti pappi, jolla oli kädessä
kömpelösti kokoon kyhätty risti, jota seurasi yksi seudun nuorista
miehistä kantaen seurakunnan lippua, ylpeänä tästä toimestaan.
Neiti de Verneuilin oli pakko liittyä tähän joukkoon, joka samoin kuin
hänkin oli matkalla Saint-Jamesiin, ja joka luonnollisesti suojeli häntä
kaikelta vaaralta, sittenkuin Galope-Chopine onneksi oli ollut niin
varomaton, että tämän sotilasosaston päällikölle kertoi, että tuo
kaunis neiti, jota hän opasti, oli Garsin hyvä ystävätär.
* * * * *
Auringonlaskun aikaan nuo kolme matkustajaa saapuivat Saint-
Jamesiin, pieneen kaupunkiin, joka on saanut nimensä
englantilaisilta, jotka sen rakensivat 14. vuosisadalla, Bretagnen
ollessa heidän valtansa alaisena.
Ennenkuin neiti de Verneuil ratsasti sisälle kaupunkiin, hän sai
nähdä omituisen sota-ajan kohtauksen, johon ei kuitenkaan
kiinnittänyt erityistä huomiota: hän pelkäsi, että joku näistä hänen
vihollisistaan voisi hänet tuntea, ja sentähden hän joudutti kulkuaan.
Viisi tai kuusi sataa miestä oli leiriytynyt kentälle. Heidän pukunsa,
jotka melkoisesti muistuttivat Pèlerine-vuoren rekryyttien pukuja,
eivät ollenkaan panneet ajattelemaan sotaväkeä. Tämä meluava
ihmisjoukko muistutti pikemmin markkinaväkeä. Täytyipä katsoa
tarkasti huomatakseen, että nämä bretagnelaiset kantoivat aseita,
sillä heidän erikuosisiksi leikatut vuohennahkansa peittivät melkein
heidän pyssynsä. Näkyvin ase oli viikate, joita muutamat käyttivät
odottaessaan luvattuja pyssyjä. Toiset joivat ja söivät, toiset taas
tappelivat tai riitelivät ääneensä, mutta suurin osa nukkui maaten
paljaalla maalla. Ei ollut jälkeäkään järjestyksestä ja kurista.

Muuan upseeri, yllään punainen univormu, veti puoleensa neiti de
Verneuilin huomion. Hän oletti tuon upseerin olevan Englannin
palveluksessa. Loitompana kaksi muuta upseeria näyttivät aikovan
opettaa paria muita älykkäämpää chouania käsittelemään kahta
kanuunaa, jotka arvatenkin muodostivat tulevan kuningasmielisen
armeijan koko tykistön. Ulvonnan tapaiset huudot vastaanottivat
Marignayn garsit, jotka tunnettiin lipustaan. Hyväkseen käyttäen
tämän joukon ja sen johtajina toimivien pappien aiheuttamaa
kuhinaa saattoi neiti vaaratta kulkea sen läpi ja saapui näin
kaupunkiin.
Hän poikkesi vaatimattomaan majataloon, joka oli vallan lähellä
sitä taloa, jossa tanssihuvit piti toimeenpantaman. Tuo
pikkukaupunki oli niin tulvillaan väkeä, että hänen, nähtyään
mahdottomasti vaivaa, onnistui saada ainoastaan pieni huone-
pahainen. Hänen otettuaan sen huostaansa Galope-Chopine jätti
Francinelle pahvilaatikon, joka sisälsi hänen emäntänsä puvun, ja jäi
sitten seisomaan tavattoman epäröivässä ja odottavassa asennossa.
Minä muuna hetkenä tahansa neiti de Verneuil olisi huvikseen nähnyt
millaiselta bretagnelainen talonpoika näyttää ulkopuolella pitäjäänsä;
mutta nyt hän poisti lumouksen ottaen kukkarostaan neljä kuuden
frangin écu'ta, jotka ojensi hänelle.
— Ota tästä, — hän sanoi Galope-Chopinelle. — Ja jos teet minulle
mieliksi, palaat viipymättä Fougères'en, kulkematta leirin kautta ja
maistamatta omenaviiniä.
Chouani hämmästyi tällaista anteliaisuutta ja katsoi vuoroin
saamiaan rahoja ja neiti de Verneuiliä; mutta neiti viittasi kädellään,
ja mies katosi.

— Kuinka saatoittekaan lähettää hänet pois, neiti? — kysyi
Francine. — Näittehän, miten kaupunki on saarrettu! Kuinka
pääsemme täältä pois, ja kuka meitä suojelee?
— Onhan sinulla suojelijasi! — sanoi neiti de Verneuil piloillaan
viheltäen kumeasti Marche-à-Terren tavoin ja jäljitellen hänen
asentoaan.
Francine punastui ja hymyili surullisesti emäntänsä hilpeydelle.
— Mutta missä on teidän suojelijanne? — hän kysyi.
Neiti de Verneuil veti äkkiä esiin tikarinsa ja näytti sitä
bretagnettarelle, joka pelästyneenä vaipui tuolille, pannen kädet
ristiin.
— Mitä siis olette tullut etsimään tänne, Marie? — hän kysyi
rukoilevalla äänellä, joka ei kaivannut vastausta.
Neiti de Verneuil väänsi paraikaa metsästä ottamaansa
rautatammen oksaa ja sanoi:
— En tiedä, tuleeko tämä oksa kaunistamaan tukkaani. Ainoastaan
niin valkeat kasvot kuin minun voivat sietää näin synkkää
hiuskoristetta. Mitä sanot siitä sinä, Francine?
Useat tällaiset lauseet pukeutumisen aikana todistivat tämän
omituisen naisen täydellistä henkistä tasapainotilaa. Se, joka kuuli
hänen näin puhuvan, olisi vaivoin uskonut, kuinka vakava tämä hetki
oli, jona hän pani henkensä alttiiksi. Intialaisesta muslimista tehty
jotenkin lyhyt hame, joka oli niin pehmeää kangasta, että se vivahti
kastettuun liinaan, päästi hänen ruumiinsa hienot ääriviivat näkyviin.
Tämän hameen ylle hän puki punaisen vaipan, jonka monet

asteittain sivuilla pitenevät laskokset vivahtivat somakuosiseen
kreikkalaiseen tunikaan. Ja tämä pakanallisten papittarien ainoa ja
hekumallinen asu saattoi vähemmin säädyttömäksi puvun, jota ajan
muoti salli naisten käyttää.
Marie peitti harsolla valkeat hartiansa, jotka liian avokaulainen
vaippa jätti paljaiksi. Hän järjesti pitkät palmikkonsa niin että ne
niskassa muodostivat tuollaisen typistetyn keilan, joka pitentäen
keinotekoisesti päätä, luo niin paljon viehätystä eräisiin
muinaiskreikkalaisiin kuvapatsaisiin, ja muutamat kiharat valuivat
vapaasti ja loistavina molemmille ohimoille. Näin puettuna, ja tukka
täten järjestettynä hän muistutti täydelleen kuuluisimpia
kreikkalaisen kuvanveistotaiteen mestariteoksia.
Sittenkuin hän hymyillen oli hyväksynyt tämän kampauksensa,
jonka yksityiskohdat saattoivat hänen kasvojensa kauneuden
selvemmin havaittavaksi, hän painoi päähänsä rautatammen oksasta
muodostamansa seppeleen, jonka monet punaiset marjat sattuvasti
toistivat hänen vaippansa väriä. Käännellessään muutamia lehtiä,
saadakseen aikaan vastakohtaa ja vaihtelua lehtien oikealla ja
nurjalla puolella, neiti de Verneuil tarkasti peilistä kokonaiskuvaansa,
voidakseen arvostella pukunsa vaikutusta.
— Olen kammottavan näköinen tänä iltana! — hän sanoi,
ikäänkuin olisi ollut imartelijaparven ympäröimänä. — Näytänhän
vallan Vapauden kuvapatsaalta…
Hän kätki huolellisesti keskelle kureliiviään tikarinsa siten, että sen
kahvaa koristavat rubiinit olivat näkyvissä, ollen omiaan vetämään
katseet niiden aarteiden puoleen, jotka kilpailijatar niin häpeällisesti
oli paljastanut. Francine ei voinut jättää emäntäänsä. Nähdessään
hänen hankkivan lähtöä, hän osasi päästäkseen mukaan keksiä

verukkeita kaikista niistä tuhansista vastuksista, jotka naisilla on
voitettavina mennessään kemuihin bretagnelaisessa
pikkukaupungissa. Täytyihän Francinen muka riisua neiti de
Verneuililtä vaippa ja päällyskengät, jotka hänen oli ollut pakko vetää
jalkaansa katuloan ja -lannan tähden, vaikka kaduille olikin levitetty
hiekkaa, ja lisäksi ottaa hänen päästään harso, jolla hän oli peittänyt
kasvonsa niiden chouanien katseilta, jotka uteliaisuus oli houkutellut
juhlatalon lähistöön.
Väkeä oli niin vahvasti, että he astuivat chouanein muodostaman
kujan läpi. Francine ei enää yrittänyt pidättää emäntäänsä. Mutta
tehtyään hänelle kaikkea sitä palvelusta, mitä vaati tämä hänen
viehättävän aistikas pukunsa, jäi bretagnetar pihalle, hän kun ei
tahtonut jättää neitiään kohtalon oikullisen sattuman valtaan, vaan
pysyi lähellä rientääkseen tarvittaessa häntä auttamaan, sillä tuo
nais-parka ei odottanut muuta kuin onnettomuutta.
Jotenkin omituinen kohtaus sattui markiisi de Montauranin
huoneustossa sinä hetkenä, jona Marie de Verneuil läksi juhlaan.
Nuori markiisi oli juuri pukeutunut ja kiinnitti paraikaa olalleen
leveätä punaista nauhaa, jonka oli määrä eroittaa hänet ensimäisenä
henkilönä tuossa seurassa, kun abotti Gudin astui levottomana
sisään.
— Herra markiisi, tulkaa joutuin, — hän sanoi. — Te yksin voitte
rauhoittaa sen myrskyn, joka minulle tuntemattomasta syystä on
noussut päällikköjen kesken. He uhkaavat hylätä kuninkaan
palveluksen. Luulen, että tuo kirottu Rifoël on syynä tähän meteliin.
Tällaiset riidat syntyvät aina mitättömästä seikasta. Kerrotaan, että
rouva du Gua moitti sitä, että tuo herra kovin huonossa puvussa
saapui kemuihin.

— Tuo nainen on varmaankin hullu, — huudahti markiisi, — kun
näin saattaa…
— Ritari du Vissard, — keskeytti abotti johtajan puheen, —
huomautti, että jos te olisitte antanut hänelle kuninkaan nimessä
luvatut rahat…
— Riittää, riittää, herra abotti. Ymmärrän nyt kaiken. Tuo kohtaus
oli edeltäpäin suunniteltu, ja te olette heidän lähettinsä…
— Minäkö, herra markiisi! — virkkoi abotti vielä kerran
keskeyttäen. — Minä tulen tarmokkaasti tukemaan teitä, ja toivon,
että te oikeudenmukaisesti tulette myöntämään, että Ranskan
alttarien jälleenpystyttäminen ja kuninkaan palauttaminen
valtaistuimelle ovat minun vaatimattomille ponnistuksilleni paljoa
tehoisampia viehätysvoimia kuin tuo Rennes'n piispanhiippa, jota te
minulle…
Abotti ei uskaltanut jatkaa, sillä nämä sanat kuullessaan oli
markiisi ruvennut hymyilemään katkerasti. Mutta nuori johtaja
tukehutti heti surulliset mietteensä, hänen otsalleen laskeutui ankara
ilme ja hän seurasi abotti Gudinia saliin, jossa kaikuivat raivokkaat
huudot.
— Minä en tunnusta täällä kenenkään yliherruutta! — huusi Rifoël,
luoden kaikkiin ympärillä olijoihin leimuavia katseita ja tarttuen
miekkansa kahvaan.
— Ettekö edes tunnusta terveen järjen yliherruutta? — kysyi
häneltä kylmästi markiisi.

Nuori ritari du Vissard, yleisemmin tunnettu sukunimeltään Rifoël,
vaikeni, nähdessään edessään katolisten armeijain ylipäällikön.
— Mistä siis on täällä kysymys? — sanoi nuori johtaja, tutkien
kaikkien kasvoja.
— Kysymys on siitä, herra markiisi, — vastasi eräs kuuluisa
salakuljettaja, joka oli hämillään kuin kansanmies ainakin, kun hän
ollen ylhäisen herran edessä alussa tuntee itsensä ennakkoluulon
masentamaksi, mutta joka ei enää tunne mitään ehkäiseviä esteitä
niin pian kuin on astunut yli eroittavien rajojen, hän kun silloin pitää
tuota herraa vertaisenaan; — kysymys on siitä, että tulette juuri
sopivaan aikaan. Minä en osaa pitää kauniita puheita; senpä tähden
sanon kursailematta sanottavani. Minä olen komentanut viittäsataa
miestä koko kuluneen sodan aikana. Siitä asti kuin jälleen tartuimme
aseisiin, olen saanut kokoon kuninkaan palvelukseen tuhat yhtälujaa
päätä kuin itselläni on. Olen nyt jo seitsemän vuotta pannut alttiiksi
henkeni hyvän asian vuoksi; en teitä siitä soimaa, mutta joka työ
ansaitsee palkkansa. Ensiksikin vaadin, että minua puhutellaan herra
de Cottereauksi; vaadin, että minulle myönnetään everstin arvo;
ellei, aion pyytää rauhaa ensimäiseltä konsulilta. Nähkääs, herra
markiisi, minun miehilläni ja minulla itselläni on pirun vaativainen
velkoja, joka aina on tyydytettävä!… Tässä se on! — hän lisäsi,
taputtaen vatsaansa.
— Ovatko soittajat saapuneet? — kysyi markiisi rouva du Gualta
ivallisella äänenpainolla.
Mutta salakuljettaja oli raa'alla tavallaan kosketellut liian tärkeää
asiaa, ja nuo yhtä itsekkäät kuin kunniahimoiset miehet olivat liian
kauan olleet epätietoiset siitä, mitä heillä oli toivottavissa
kuninkaalta, jotta nuoren johtajan ylenkatse olisi voinut tehdä lopun

tästä kohtauksesta. Nuori ja kiivas ritari du Vissard asettui äkkiä
Montauranin eteen ja tarttui hänen käteensä, pakoittaakseen hänet
jäämään.
— Pitäkää varanne, herra markiisi, — sanoi hän hänelle, — te
kohtelette liian pintapuolisesti miehiä, jotka ovat jossakin määrin
oikeutetut vaatimaan kiitollisuutta siltä, jota te täällä edustatte. Me
tiedämme, että Hänen Majesteettinsa on antanut teille täyden
valtuuden julkisesti tunnustaa meidän palveluksemme, jotka
epäilemättä saamme palkkamme tässä tai toisessa maailmassa, sillä
odottaahan mestauslava valmiina meitä joka päivä. Minä puolestani
tiedän, että prikaatikenraalin arvo…
— Te tarkoitatte kai everstin arvoa?
— En, herra markiisi, Charrette on jo ylentänyt minut everstiksi.
Mutta kun sitä arvoa, jonka mainitsen, ei minulta voitane kieltää, en
tänä hetkenä aja omaa asiaani, vaan kaikkien pelottomien
aseveljien! asiaa, joiden palvelukset vaativat tunnustusta. Teidän
nimikirjoituksenne ja lupauksenne riittävät heille tänään; ja
myönnän, — näin hän kuiskaten lisäsi, — että he tyytyvät varsin
vähäiseen. Mutta, — näin hän jatkoi ääneen, — kun aurinko nousee
Versailles'n linnassa valaisemaan kuningasvallan onnellisia päiviä,
saavatkohan silloin ne uskolliset, jotka ovat Ranskassa auttaneet
kuningasta jälleen valloittamaan itselleen Ranskan, helposti
armonosoituksia perheilleen, eläkkeitä leskilleen ja korvauksen siitä
omaisuudesta, joka heiltä niin sopimattomaan aikaan on riistetty?
Minä sitä epäilen. Silloin, herra markiisi, eivät todistukset tehdyistä
palveluksista tule olemaan turhat. Minä en koskaan epäile
kuningasta, vaan ministerien ja hovilaisten laumaa, jotka toitottavat
hänen korvaansa periaatteita valtion menestyksestä, Ranskan

kunniasta, kruunun eduista ja tuhat muuta lorua. Silloin viis
välitetään uskollisesta vendéelaisesta tai kelpo chouanista, hän kun
on vanha ja kun se miekka, jonka hän on vetänyt esiin huotrasta
taistellakseen hyvän asian puolesta, pieksee hänen kärsimyksistä
kipeitä jalkojaan. Olemmeko mielestänne väärässä?
— Te puhutte ihmeen hyvin, herra du Vissard, mutta vähän liian
aikaisin, — vastasi markiisi.
— Kuulkaahan, herra markiisi, — kuiskasi hänelle kreivi de
Bouvan, — Rifoël on epäilemättä puhunut totta. Te puolestanne
olette aina varma siitä, että saatte asianne kuninkaan kuuleviin
korviin; mutta me muut saamme nähdä tämän ylimmän herran
ainoastaan kaukaa. Ja tunnustan suoraan, että jos te ette anna
minulle kunniasanaanne siitä, että aikanansa hankitte minulle
Ranskan metsähallituksen ylijohtajan viran, niin enpä hitto vieköön
enää pane päätäni alttiiksi. Valloittaa Normandia kuninkaalle — se ei
ole mikään mitätön seikka, ja olenkin odottanut Ludvigin tähteä. —
Mutta, — hän jatkoi punastuen, — onhan meillä aikaa ajatella tätä.
Jumala varjelkoon minua matkimasta noita poloisia ja teitä
kiusaamasta. Tehän puhutte minusta kuninkaalle, ja silloin on kaikki
hyvin.
Jokainen näistä päälliköistä keksi keinot huomauttaa markiisille,
taitavasti tai kömpelösti, mitä liioitellun suurta palkkaa odotti
palveluksestaan. Mikä pyysi vaatimattomasti Bretagnen maaherran
virkaa, mikä paroonikuntaa, toinen jotain arvoasemaa, toinen taas
päällikkyyttä, kaikki vaativat eläkettä.
— Entä te, parooni, — sanoi markiisi herra du Guénic'ille, — ettekö
te vaadi mitään?

— Mitä tehdä, markiisi, eiväthän nämä herrat jätä jälelle muuta
kuin
Ranskan kruunun, mutta siihen voisinkin tyytyä…
— Hyvät herrat, — sanoi abotti Gudin kaikuvalla äänellä, —
ajatelkaahan, että jos teillä on niin kiire, niin tärvelette kaikki voiton
päivänä. Eikö kuninkaan ole pakko tehdä myönnytyksiä
vallankumouksellisille?
— Jakobiineille! — huudahti salakuljettaja. — Jättäköön kuningas
minulle täyden toimintavallan, ja lupaan tuhannen mieheni kanssa
hirttää heidät, ja niin pääsemme heistä pian…
— Herra de Cottereau, — sanoi markiisi, — näen muutamien
kutsutuista vieraista astuvan sisään. Meidän tulee kilvan ponnistaa
voimiamme saadaksemme heidät ottamaan osaa pyhään
yritykseemme, ja te käsitätte, ettei nyt ole oikea hetki pohtia teidän
pyyntöjänne, kuinka oikeutettuja ne lienevätkin.
Näin puhuessaan markiisi lähestyi ovea ikäänkuin mennäkseen
vastaanottamaan eräitä paikkakunnan ylimyksiä, joiden oli nähnyt
saapuvan. Mutta rohkea salakuljettaja tukki hänen tiensä alamaisen
ja kunnioittavan näköisenä.
— Ei, ei, herra markiisi; suokaa minulle anteeksi, mutta jakobiinit
ovat liian hyvin opettaneet meille vuonna 1793, ettei se, joka kylvön
tekee, saa syödä leipäkannikkaa. Allekirjoittakaa tämä paperini, ja
huomenna tuon teille tuhat viisisataa soturia; jollette sitä tee, ryhdyn
keskusteluihin ensimäisen konsulin kanssa.
Katseltuaan ylpeänä ympärilleen markiisi huomasi, että tuon
vanhan puoluelaisen rohkeus ja päättäväisyys ei ollut miellyttämättä

läsnäolijoita. Yksi ainoa nurkassa istuva mies ei näyttänyt ottavan
osaa tähän kohtaukseen, vaan pani tupakkaa savupiippuunsa. Se
ylenkatse, joka hänessä ilmeni äskeisiä puhujia kohtaan, hänen
vaatimattomuutensa ja se osaaottava ilme, jonka markiisi kohtasi
hänen silmissään, olivat omiaan kiinnittämään häneen markiisin
tarkkaavaisuuden, ja viimein hän tunsi hänet majuri Brigaut'ksi.
Johtaja riensi hänen luokseen.
— Entä sinä, — sanoi markiisi, — mitä sinä vaadit?
— Oh, herra markiisi, jos kuningas palaa, minä olen tyytyväinen.
— Niin, niin, mutta sinä itse?…
— Oh, minä itse… Armollinen herra laskee leikkiä. Markiisi puristi
bretagnelaisen känsäistä kättä ja sanoi rouva du Gualle, jonka luo oli
mennyt:
— Rouvaseni, saatan kuolla yritykseni aikana ennenkuin olen
ehtinyt kuninkaalle antaa tarkkaa raporttia Bretagnen katolisista
armeijoista. Jos te näette kuninkaan paluun valtaistuimelle, älkää
unhoittako tätä kunnon miestä, älkääkä parooni du Guénic'ia. Heissä
on enemmän epäitsekästä antaumista kuin kaikissa näissä muissa
herroissa.
Ja hän osoitti päällikköjä, jotka jotenkin kärsimättöminä odottivat
nuoren markiisin myöntymistä heidän pyyntöihinsä. Kaikki pitivät
kädessä auki käärittyä paperia, jossa edellisten sotien rojalistiset
kenraalit kaiketi olivat todenneet heidän ansionsa, ja kaikki alkoivat
napista. Keskellä heitä abotti Gudin, kreivi de Bouvan ja parooni du
Guénic neuvottelivat, miten auttaisivat markiisia epäämään niin

liioiteltuja vaatimuksia, sillä heidän mielestään nuoren johtajan
asema oli hyvin kiusallinen.
Äkkiä markiisi kiinnitti ivasta säihkyvät silmänsä koolla olevaan
seuraan ja sanoi kirkkaalla äänellä:
— Hyvät herrat, en tiedä, onko kuninkaan minulle myöntämä valta
tarpeeksi laaja, jotta voisin tyydyttää vaatimuksenne. Hän ei kenties
ole aavistanut niin suurta intoa ja uskollisuutta. Mutta saatte itse
päättää, mikä on velvollisuuteni, ja kenties voin sen täyttää.
Hän poistui huoneesta ja palasi seuraavassa tuokiossa pitäen
kädessään auki käärittyä paperia, joka oli varustettu kuninkaan
sinetillä ja nimikirjoituksella.
— Tässä on se avoin käskykirje, jonka nojalla te olette velvolliset
minua tottelemaan. Se oikeuttaa minut hallitsemaan Bretagnen,
Normandian, Mainen ja Anjoun maakuntia kuninkaan nimessä sekä
antamaan tunnustusta niille upseereille, jotka ovat kunnostautuneet
hänen armeijoissaan.
Tyytyväisyyden kohina kuului koolla olevasta seurasta. Chouanit
lähestyivät markiisia, muodostaen kunnioittavan piirin hänen
ympärilleen. Kaikki katseet tähystivät kuninkaan nimikirjoitusta.
Nuori johtaja, joka seisoi suorana kamiinin edessä, heitti tuon kirjeen
tuleen, missä se silmänräpäyksessä paloi tuhaksi.
— Minä en enää tahdo johtaa muita miehiä kuin sellaisia, jotka
todella kunnioittavat kuningasta kuninkaana, eivätkä katso häntä
saaliiksi, joka on raadeltava. Te olette vapaat, hyvät herrat,
hylkäämään minut…

Rouva du Gua, abotti Gudin, majori Brigaut, ritari du Vissard,
parooni du Guénic ja kreivi de Bouvan kaiuttivat innostuksissaan
huudon: "Eläköön kuningas!" Ja vaikka muut päälliköt hetken aikaa
epäröivät toistamasta tuota huutoa, heltyivät he kuitenkin pian
markiisin jalosta teosta, pyytäen häntä unhoittamaan mitä oli
tapahtunut, vakuuttaen että hän ilman kuninkaan valtuuskirjettäkin
aina oli oleva heidän johtajansa.
— Nyt tanssimaan, — huusi kreivi de Bouvan, — tapahtukoon
sitten mitä tahansa. Muuten, hyvät ystävät, on parempi kääntyä
suoraan Jumalan kuin hänen pyhimystensä puoleen. Tapelkaamme
ensin, sittenhän saamme nähdä.
— Se on totta, kaikella kunnioituksella teitä kohtaan, herra
parooni, — sanoi Brigaut puoliääneen rehelliselle du Guénic'ille, —
en totisesti koskaan ole nähnyt, että jo aamulla vaaditaan saman
päivän työpalkkaa.
Seura hajaantui saleihin, joihin jo muutamia vieraita oli
kokoontunut. Turhaan koetti markiisi karkoittaa sitä synkkää ilmettä,
jonka tiesi painuneen kasvoihinsa. Päälliköt huomasivat helposti,
kuinka ikävän vaikutuksen tuo kohtaus oli tehnyt mieheen, jonka
uskollisuuteen kuninkaan asialle vielä yhtyi nuoruuden kauniita
harhaluuloja, ja he häpesivät käytöstään.
* * * * *
Huumaava ilo valtasi tämän seuran, jonka muodostivat mitä
innokkaimmat kuningasmielisen puolueen henkilöt, sillä nämä eivät
olleet kukistamattoman maaseudun sydämessä koskaan oikein
voineet arvostella vallankumousta, joten he pitivät mitä
epävarmimpia toiveita todellisuutena. Montauranin alottamat rohkeat

sotatoimet, hänen nimensä, hänen omaisuutensa, hänen kykynsä,
kiihoittivat mielissä rohkeutta ja synnyttivät tuon poliittisen
huumaustilan, joka on vaarallisin kaikista, se kun vaimenee
ainoastaan veritulvista, jotka melkein aina vuodatetaan turhaan.
Kaikkien läsnäolijoiden mielestä vallankumous ei ollut muuta kuin
ohimenevä häiriötila Ranskan kuningaskunnassa, missä siitä ei
mikään näyttänyt muuttuneelta. Tämä maaseutu kuului muka yhä
vielä Bourbonien hallitsijasuvulle. Kuningasmieliset muka hallitsivat
täällä yhtä täydellisesti kuin neljä vuotta aikaisemmin, jolloin Hoche
muka rauhan asemesta saavutti ainoastaan aselevon. Aateliset
kohtelivat siis vallankumouksellisia hyvin ylenkatseellisesti; heidän
mielestään Bonaparte oli vaan toinen Marceau, joka oli edeltäjäänsä
onnellisempi.
Naiset valmistautuivat sentähden tanssimaan hillittömän iloisesti.
Muutamat päälliköistä, jotka olivat taistelleet sinisien kanssa,
tunsivat yksin silloisen jännittävän käännekauden vakavuuden ja
tiesivät, että jos puhuisivat ensimäisestä konsulista ja hänen
mahtavuudestaan kansalaisille, jotka olivat jälellä ajastaan, eivät
nämä heitä ymmärtäisi. Sentakia he punnitsivat asiaa jutellen
keskenään ja katsellen välinpitämättömästi noita naisia, jotka
kostivat heille arvostellen heitä mitä ankarimmin. Rouva du Gua,
joka näytti esiintyvän kemujen emäntänä, koetti karkoittaa
tanssijattarien kärsimättömyyttä lausumalla heille kullekin järjestänsä
tavanmukaisia imartelevia kohteliaisuuksia.
Jo kuultiin soittimien räikeät äänet, kun niitä viritettiin, ja silloin
rouva du Gua huomasi markiisin, jonka ilme yhä vielä oli surullinen,
ja riensi hänen luokseen.

— Toivottavasti ei tuo kohtaus, joka teillä oli noiden tomppelien
kanssa, ole syynä alakuloisuuteenne? — hän sanoi.
Hän ei saanut vastausta. Markiisi oli vaipunut haaveisiin ja muisteli
niitä perusteita, joihin viitaten Marie ennustajattaren äänellä juuri
keskellä näitä samoja päällikköjä Vivetièressä oli kehoittanut häntä
luopumaan kuningasten taistelusta kansoja vastaan. Mutta tällä
nuorella miehellä oli liiaksi ylevä sielu, liiaksi ylpeyttä ja ehkä
vakaumusta hylätäkseen alkamansa työn, ja sinä hetkenä hän päätti
rohkeasti jatkaa sitä, huolimatta esteistä. Hän nosti ylpeänä päänsä
ja kuuli nyt, mitä rouva du Gua lausui:
— Te olette epäilemättä ajatuksinenne Fougères'ssa! — sanoi
rouva du Gua, äänessä katkeruutta, joka johtui hänen
epäonnistuneesta yrityksestään saattaa markiisi iloiselle tuulelle. —
Oh, markiisi, antaisin vereni, jos voisin hankkia teille tuon naisen ja
saattaa teidät onnelliseksi hänen kanssaan.
— Miksi siis niin taitavasti tähdäten koetitte ampua hänet
kuoliaaksi?
— Sentähden, että tahtoisin nähdä hänet joko kuolleena tai teidän
sylissänne. Niin, hyvä herra, rakastin markiisi de Montaurania niin
kauan kuin saatoin pitää häntä sankarina. Nyt en tunne häntä
kohtaan muuta kuin surumielistä ystävyyttä, kun näen, että ilonaisen
häälyvä sydän eksyttää hänet kunnian tieltä.
— Mitä tulee rakkauteen, — virkkoi markiisi ylenkatseellisesti, —
arvostelette minua väärin. Jos rakastaisin tuota naista, himoitsisin
häntä vähemmin… ja ilman teidän huomautustanne en enää häntä
ajattelisi.

— Tuossa hän on! — huudahti äkkiä rouva du Gua.
Markiisi käänsi päänsä tavattoman kiireisesti, ja tämä koski
kipeästi tuohon nais-parkaan. Mutta kynttilöiden levittämässä
kirkkaassa valossa rouva du Gua näki pienimmänkin muutoksen sen
miehen kasvoissa, jota hän niin raivokkaasti rakasti, ja luuli
huomaavansa niissä palavaa intohimoa, kun markiisi käänsi päänsä
häntä kohti, hymyillen tälle naisviekkaudelle.
— Mille te nauratte? — kysyi kreivi de Bouvan.
— Puhkeavalle saippuakuplalle! — vastasi rouva du Gua hilpeänä.
— Markiisi ihmettelee tänään — jos saa luottaa hänen sanoihinsa —
että hetkeäkään on voinut tuntea sydämensä sykkivän rakkaudesta
tuohon naikkoseen, joka kehui muka olevansa neiti de Verneuil.
Tiedättehän?
— Tuohon naikkoseen?… — virkkoi kreivi moittiva sävy äänessä. —
Rouvaseni, minun, joka olen tämän onnettomuuden alku ja juuri, on
velvollisuus hyvittää se, ja annan teille kunniasanani siitä, että tuo
nainen todella on herttua de Verneuilin tytär.
— Herra kreivi, — sanoi markiisi värähtelevällä äänellä — kumpaa
kunniasanaanne uskon, Vivetièressä vaiko täällä Saint-Jamesissa
antamaanne?
Samassa kaikuva ääni kuulutti neiti de Verneuilin tulon. Kreivi
riensi ovelle ja tarjosi käsivartensa tuntemattomalle kaunottarelle,
osoittaen mitä syvintä kunnioitusta. Sitten hän vei hänet salin poikki,
vieraiden heitä uteliaasti katsellessa, esitti hänet markiisille ja rouva
du Gualle ja sanoi hämmästyneelle johtajalle:

— Pitää uskoa ainoastaan tämänpäiväistä sanaani.
Rouva du Gua kalpeni, nähdessään tämän onnettomuutta
ennustavan nuoren naisen, joka jäi hetkeksi seisomaan, luoden
ylpeitä katseita tähän seuraan, josta haki Vivetièren linnan
pöytävieraita. Hän odotti kilpailijattarensa väkinäistä tervehdystä, ei
katsonut markiisiin, vaan antoi kreivin viedä hänet kunniasijalle
rouva du Guan viereen, jolle suosiollisesti hiukan nyökäytti päätään.
Rouva du Gua seurasi viekasta naisvaistoaan siinä, ettei näyttänyt
närkästystään, vaan hymyili ystävällisesti. Neiti de Verneuilin
omituinen puku ja harvinainen kauneus nostivat hetkeksi ihailun
muminan koolla olevassa seurassa. Kun markiisi ja rouva du Gua
käänsivät katseensa Vivetièren vieraisiin, huomasivat he, että heidän
ilmeensä kuvastivat vilpitöntä kunnioitusta, ja että jokainen näytti
yrittävän jälleen saavuttaa loukatun parisittaren suosion. Molemmat
toisiaan vihaavat naiset olivat nyt vastatusten.
— Mutta tämähän on sulaa taikaa, armollinen neiti! Eipä kukaan
muu kuin te voi näin yllättää ihmisiä. Kuinka olette voinut tulla vallan
yksin? — virkkoi rouva du Gua.
— Vallan yksin, — toisti neiti de Verneuil. — Siis ei teidän tänä
iltana tarvitse ampua kuoliaaksi ketään muuta kuin minut, armollinen
rouva.
— Suokaa minulle anteeksi, — jatkoi rouva du Gua. — En voi
sanoin ilmaista, kuinka iloitsen teidän jälleennäkemisestänne. Olen
todella tuntenut omantunnontuskia teille tekemästäni vääryydestä,
ja olen toivonut tilaisuutta sitä hyvittääkseni.
— Mitä tulee teidän minulle tekemäänne vääryyteen, annan sen
teille kernaasti anteeksi, mutta minulla on omallatunnollani niiden

sinisten kuolema, jotka te salakavalasti murhautitte. Saattaisin
kenties vielä valittaa kirjevaihtonne jyrkkyyttä… mutta annan teille
anteeksi kaiken minulle tekemänne palveluksen tähden.
Rouva du Gua joutui aivan suunniltaan tuntiessaan
kilpailijattarensa painavan kättänsä, kun tämä vielä lisäksi hymyili
hänelle ärsyttävän ystävällisesti. Markiisi oli pysynyt
liikkumattomana, mutta nyt hän tarttui rajusti kreivin käsivarteen.
— Te olette halpamaisesti pettänyt minut, — hän sanoi hänelle, —
ja olette pannut kunnianikin epäilyttävästi alttiiksi. Mutta minä en ole
mikään lapsellinen houkkio, minun täytyy riistää teiltä henki, tai
teidän minulta.
— Markiisi, — vastasi kreivi ylpeänä, — olen valmis antamaan
teille kaikki ne selitykset, joita haluatte.
Ja he menivät viereiseen huoneeseen. Nekin henkilöt, jotka
vähemmin olivat perehtyneet tämän kohtauksen salaisiin syihin,
alkoivat oivaltaa sen jännittäväisyyttä, niin että viulujen alkaessa
soittaa tanssimusiikkia ei kukaan liikkunut paikaltaan.
— Arvoisa neiti, minkä niin tärkeän palveluksen olen siis teille
tehnyt ansaitakseni…? — kysyi rouva du Gua purren huuliaan
raivoissaan.
— Olettehan paljastanut minulle markiisi de Montauranin oikean
luonteen. Kuinka välinpitämättömänä tuo mies antoi minun syöksyä
kuolemaan!… Suon hänet kernaasti teille.
— Mitä siis olette tullut etsimään täältä? — kysyi rouva du Gua
viekkaasti.

— Sitä kunnioitusta ja arvoa, jonka te, hyvä rouva, riistitte minulta
Vivetièressä. Mitä muuhun tulee, voitte täydelleen rauhoittautua. Jos
markiisi taas kääntäisi huomionsa minuun, tulee teidän tietää, ettei
tuollainen palaaminen koskaan merkitse rakkautta.
Rouva du Gua tarttui silloin neiti de Verneuilin käteen tuollaisella
herttaisella kohteliaisuudella, jota naiset kernaasti osoittavat
toisilleen, varsinkin miesten läsnäollessa.
— Olenpa, lapsukaiseni, todella hyvin iloinen, nähdessäni, että
olette noin järkevä. Vaikka teille tekemäni palvelus aluksi olikin
vähän kovakätinen ja ankara, on se ainakin täydelleen tehoisa, —
hän virkkoi painaen tuon toisen kättä, samalla kuitenkin tuntien
halua raadella sen rikki, kun sormensa ilmaisivat hänelle tuon käden
sametinpehmeän hienouden. — Kuulkaahan, tunnen hyvin Garsin
luonteen, — hän jatkoi viekkaasti hymyillen, — no niin, hän olisi
pettänyt teidät, hän ei tahdo eikä voi naida ketään.
— Vai niin!
— Juuri niin, neiti; hän on suostunut vaaralliseen tehtäväänsä
ainoastaan ansaitakseen neiti d'Uxelles'n käden, avioliitto, jota
Hänen Majesteettinsa on luvannut kaikin tavoin tukea.
— Vai niin, vai niin!…
Neiti de Verneuil ei lisännyt sanaakaan tähän ivalliseen
huudahdukseen. Nuori ja kaunis ritari du Vissard, joka hehkui
halusta saada anteeksi sen merkin, jonka hän oli Vivetièressä
antanut häpäisemiseen, lähestyi neiti de Verneuiliä, pyysi häntä
kunnioittaen tanssimaan, ja parisitar ojensi hänelle kätensä ja riensi
ottamaan osaa katrilliin, jossa rouva du Guakin tanssi mukana.

Näiden muiden naisten puvut muistuttivat maanpakoon karkoitetun
hovin muoteja, jonka mukaista oli puuteroida tai kähertää hiukset, ja
ne näyttivät naurettavilta, kun niitä vertasi samalla komeaan,
hienoon ja yksinkertaiseen pukuun, jonka käyttämiseen neiti de
Verneuilillä muodin nojalla oli oikeus, vaikka naiset puheissaan sitä
tuomitsivat, mutta itsekseen kadehtivat. Miehet taas eivät ollenkaan
tarpeeksi voineet ihailla näin luontevasti kammattuja ja koristettuja
hiuksia ja pukua, jonka viehätys piili sen muotojen
sopusuhtaisuudessa.
Nyt palasivat markiisi ja kreivi tanssisaliin ja osuivat neiti de
Verneuilin taakse, joka ei kääntynyt. Ellei vastapäätä häntä oleva
peili olisi hänelle ilmoittanut markiisin läsnäoloa, olisi hän sitä
aavistanut rouva du Guan elkeistä, joka osasi huonosti kätkeä
näennäisesti välinpitämättömällä ilmeellä sitä kärsimättömyyttä, joka
hermostutti häntä hänen odottaessaan ennemmin tai myöhemmin
näiden kahden kilpailijattaren välillä puhkeavaa taistelua. Vaikka
markiisi keskusteli kreivin ja kahden muun henkilön kanssa, hän
kuitenkin kuuli niiden tanssivien naisten ja miesten puheet, jotka
tanssiasemien vaihtuessa hetkeksi tulivat seisomaan neiti de
Verneuilin ja hänen vierustoveriensa paikoille.
— Oh, hyvä Jumala, niin, armollinen rouva, tuo nainen on todella
tullut yksin, — sanoi muuan.
— Täytyypä olla hyvin rohkea, — sanoi nainen.
— Mutta jos minulla olisi tuollainen puku, luulisin olevani alasti, —
huomautti toinen nainen.
— No, eipä itse puku juuri ole säädyllinen, mutta tuo nainen on
niin kaunis, ja se sopii hänelle mainiosti!

— Katsokaahan, minä oikein häpeän hänen täydellistä
tanssitaitoaan. Eikö hän teidän mielestänne ole kokonaan oopperan
balettitanssijattaren näköinen? — virkkoi tuo kateellinen nainen.
— Luuletteko hänen tulleen tänne tekemään sopimuksia
ensimäisen konsulin nimessä? — kysyi kolmas nainen.
— Eipä hän suinkaan tuo neitseellistä viattomuutta myötäjäisinä
pesään, — ilkkui tanssiva nainen.
Gars kääntyi äkkiä nähdäkseen sen naisen, joka oli lausunut tuon
ilkeän parjauksen, ja silloin rouva du Gua katsoi häneen, kasvoissa
ilme, joka selvästi sanoi: "Siinä näette, mitä tuosta naisesta
ajatellaan."
— Armollinen rouva, — sanoi kreivi nauraen neidin vihamieliselle
kilpailijattarelle, — toistaiseksi ainoastaan naiset ovat koettaneet
riistää häneltä hänen viattomuutensa.
Tämän huomautuksen johdosta markiisi antoi mielessään kreiville
anteeksi kaiken sen pahan, minkä hän oli matkaansaanut. Kun hän
sitten rohkeni luoda katseensa ihailemaansa naiseen, jonka suloa,
kuten yleensä muidenkin naisten, kynttilänvalo kohotti, neiti de
Verneuil käänsi hänelle selkänsä, palaten paikalleen, missä keskusteli
kavaljeerinsa kanssa, korottaen ääntään, niin että sen hyväilevimmät
sävelet saapuivat markiisin korvaan.
— Ensimäinen konsuli toimittaa luoksemme hyvin vaarallisia
lähettiläitä! — sanoi hänen kavaljeerinsa.
— Hyvä herra, tämä mainittiin minulle jo Vivetièressä, —
huomautti parisitar.

— Teilläpä on yhtä hyvä muisti kuin kuninkaalla! — virkkoi
aatelismies, tyytymättömänä kömpelyyteensä.
— Voidakseen antaa anteeksi solvauksia täytyy sellaista muistaa,
— sanoi Marie vilkkaasti, päästäen hänet hymyillen hänen
noloudestaan.
— Koskeeko tämä armahdus meitä kaikkia? — kysyi markiisi.
Mutta Marie syöksyi lapsellisen hurmautuneena tanssipyörteeseen,
jättäen siihen markiisin tyrmistyneenä odottamaan vastausta.
Markiisi katseli parisitarta jäykän alakuloisella, parisitar huomasi sen
ja kallisti päänsä tuollaiseen kiemailevaan asentoon, joka toi esiin
kaulan sopusuhtaisen muodon, eikä unhoittanut ainoatakaan niistä
liikkeistä, jotka paljastivat hänen ruumiinsa harvinaista täydellisyyttä.
Marie veti puoleensa kuin toivo ja pakeni kuin muisto. Kun hänet
näki sellaisena, heräsi halu omistaa hänet mistä hinnasta tahansa.
Hän sen tiesi, ja tämä kauneutensa tietoisuus loi hänen kasvoihinsa
sanomatonta suloa. Markiisi tunsi sydämessään nousevan
rakkauden, raivon, mielettömyyden myrskyn; hän puristi rajusti
kreivin kättä ja poistui.
"Hän on siis poissa?" ajatteli neiti de Verneuil palattuaan
paikalleen.
Kreivi riensi viereiseen saliin ja viittasi salaa turvatilleen, kun toi
sieltä Garsin hänen luokseen.
"Hän on minun vallassani", ajatteli Marie, tutkien kuvastimesta
markiisia, jonka vielä kiihoittuneet kasvot säteilivät toivoa.

Yhä vielä muka nyreissään hän vastaanotti nuoren päällikön, ja
sanaakaan sanomatta, mutta poistui hymyillen hänen luotaan; tuo
mies näytti hänestä kaikkia muita niin ylevämmältä, että hän ylpeili
siitä, että saattoi häntä kiduttaa. Hän tahtoi antaa hänen kalliisti
maksaa muutaman lempeän sanan, jotta hän täysin tajuaisi niiden
arvon, ja siinä hän seurasi sitä naisen vaistoa, jota he kaikki
enemmän tai vähemmän noudattavat. Kun katrilli oli lopussa, kaikki
Vivetièressä olleet aatelismiehet ympäröivät Marien, ja kukin rukoili
anteeksi erehdystään, lausuen imartelevia sanoja, jotka toisilta
onnistuivat paremmin, toisilta huonommin. Mutta se mies, jonka
parisitar olisi tahtonut nähdä polvillaan edessään, ei lähestynyt häntä
ympäröivää ryhmää.
"Hän luulee yhä vielä olevansa rakastettu", ajatteli Marie, "eikä
hän tahdo, että häntä sekoitetaan välinpitämättömiin ".
Hän kieltäytyi lähinnä seuraavasta tanssista. Sitten hän, aivan kuin
tämä juhla olisi annettu hänen kunniakseen, kulki tanssiryhmästä
toiseen, nojaten kreivi de Bouvanin käsivarteen, jolle suvaitsi
osoittaa herttaista tuttavallisuutta. Koko seura tiesi jo silloin
yksityiskohtia myöten Vivetièren seikkailun, kiitos rouva du Guan
huolenpidon, hän kun saattamalla neiti de Verneuilin ja markiisin
juorupuheiden esineeksi toivoi asettavansa lisäesteen heidän
sovinnollisille väleilleen. Näin olivat molemmat riitaantuneet
rakastavat tulleet yleisen huomion esineeksi. Montauran ei
uskaltanut lähestyä lemmittyään, sillä tietoisuus siitä, että oli
menetellyt väärin ja hänen jälleen elpyneen intohimonsa
raivokkaisuus saivat hänet näkemään neiti de Verneuilin
peloittavassa valossa. Nuori parisitar puolestaan salaa tähysteli
markiisin näennäisesti rauhallisia kasvoja, ollen katselevinaan
tanssivia pareja.

— Täällä on hirveän kuuma, — hän sanoi seuralaiselleen. —
Huomaan, että markiisi de Montauranin otsa on vallan kostea hiestä.
Viekää minut toiselle puolelle, että voisin vapaasti hengittää… täällä
ihan tukehdun.
Ja päännyökkäyksellä hän osoitti kreiville viereistä salia, jossa istui
muutamia pelureita. Markiisi seurasi sinne lemmittyään, jonka sanat
oli arvannut huulten liikkeistä. Hän rohkeni toivoa, että Marie oli
poistunut muusta seurasta ainoastaan saadakseen tavata hänet, ja
olettaessaan tätä suosiota hän tunsi intohimonsa leimahtavan
entistään rajumpana; sillä hänen rakkautensa oli kiihtynyt siitä, että
hän viime päivinä oli pitänyt velvollisuutenaan sitä kaikin tavoin
tukehuttaa. Neiti de Verneuilistä oli hauska kiusata nuorta päällikköä;
hänen katseensa, joka kreiville oli niin lempeä, niin sametin pehmeä,
muuttui kuivaksi ja synkäksi, kun se sattumalta kohtasi markiisin
silmät. Montauran näytti tekevän kiusallisen ponnistuksen ja sanoi
kumealla äänellä:
— Ettekö siis anna minulle anteeksi?
— Rakkaus, — vastasi Marie kylmästi, — ei anna anteeksi mitään,
tai antaa anteeksi kaiken. Mutta, — hän lisäsi, huomatessaan hänen
ilosta säpsähtävän, — täytyy rakastaa…
Hän oli jälleen nojautunut kreivin käsivarteen ja oli vetäytynyt
jonkunmoiseen naisten huoneeseen, joka oli pelisalin vieressä.
Markiisi seurasi sinne Marieta.
— Tahdotteko kuulla minua? — hän huudahti.
— Näyttää siltä, herrani, kuin luulisitte, että minä olen tullut tänne
teidän tähtenne, enkä kunnioituksesta itseäni kohtaan. Jollette

lopeta tätä sietämätöntä takaa-ajoa, lähden täältä pois.
— No niin, — sanoi markiisi, jonka mieleen juolahti eräs viimeisen
Lotringin herttuan mielettömimpiä tekoja, — sallikaa minun puhua
ainoastaan niin kauan, kuin voin pitää kädessäni tätä hiiltä.
Hän kumartui alas tulisijan kohdalle, tarttui hehkuvaan hiileen ja
puristi sitä rajusti kouraansa. Neiti de Verneuil punastui, irroitti
nopeasti kätensä kreivin käsivarresta ja katsoi markiisiin
hämmästyneenä. Kreivi poistui hiljaa, jättäen molemmat rakastavat
kahden kesken. Näin mieletön teko oli järkyttänyt Marien sydämen,
sillä rakkaudessa ei ole mitään vakuuttavampaa kuin typerä teko,
joka samalla on rohkea.
— Te todistatte minulle täten, — hän sanoi koettaen saada hänet
heittämään pois hiilen, — että saattaisitte minut mitä julmimman
kidutuksen alaiseksi. Te menette äärimäisyyksiin kaikessa. Houkkion
vakuutuksien ja naisen parjauksen nojalla epäilitte, että se nainen,
joka oli pelastanut henkenne, olisi kyennyt teidät myymään!
— Se on totta, — hän sanoi, — että olen ollut teille julma; mutta
koettakaa te kuitenkin unhoittaa se, minä en sitä koskaan voi
unhoittaa. Kuulkaahan minua… Minut petettiin halpamaisesti, mutta
tuona onnettomana päivänä kasaantui niin paljo seikkoja, jotka
todistivat teitä vastaan…
— Ja nuo seikat riittivät tukahuttamaan rakkautenne?
Markiisi epäröi, mitä vastata. Neiti de Verneuil teki ylenkatsetta
osoittavan liikkeen ja nousi.
— Oi, Marie, nyt en enää tahdo uskoa, että te…

— Mutta hellittäkää toki kädestänne tuo hehkuva hiili! Te olette
mieletön. Avatkaa kätenne, minä tahdon.
Markiisia huvitti heikosti vastustaa lemmittynsä lempeää
ponnistelua, pitkittääkseen sitä nautintoa, jonka hänelle tuotti hänen
pienten ja hyväilevien sormiensa puristus. Mutta Marien onnistui
viimein avata tuo käsi, jota olisi tahtonut suudella. Veri oli
sammuttanut hiilen.
— No sanokaapa, mitä tuo nyt on teitä hyödyttänyt? — Hän ratkoi
nenäliinastaan nöyhtää ja painoi sitä haavalle, joka muuten ei ollut
syvä, ja jonka markiisi heti peitti käsineellään.
Rouva du Gua saapui varpaillaan astuen viereiseen peilisaliin ja loi
salaa katseita molempiin rakastavaisiin, joiden huomion hän
taitavasti vältti kumartuen taaksepäin heidän vähänkin
liikahtaessaan. Mutta epäilemättä hänen oli vaikea heidän
liikkeistään ja ilmeistään päättää, mitä he puhuivat.
— Jos kaikki se, mitä minusta on teille sanottu, olisi totta, niin
myöntäkää, että tänä hetkenä olisin saanut täyden
kostontyydytyksen, — sanoi Marie niin pisteliäästi, että markiisi
kalpeni.
— Ja mikä tunne siis sai teidät tulemaan tänne?
— Mutta, ystäväni, te olette kovin lapsellinen. Luuletteko
rankaisematta voivanne ylenkatsoa sellaista naista kuin minä? —
Olen tullut tänne sekä teidän tähtenne että itseni tähden, — hän
virkkoi hetken vaiettuaan, painaen kättään rubiinikimppua vastaan,
joka komeili keskellä hänen poveaan, ja sitten näyttäen markiisille
tikariaan.

"Mitähän kaikki tämä merkitsee?" ajatteli rouva du Gua.
— Mutta, — jatkoi Marie, — te rakastatte minua vielä… Ainakin
haluatte yhä vielä omistaa minut; ja äskeinen mieletön tekonne, —
hän lisäsi, tarttuen hänen käteensä, — on sen minulle todistanut.
Minä olen jälleen tullut siksi, miksi tahdoin tulla, ja poistun täältä
onnellisena. Se, joka meitä rakastaa, sille annetaan aina
synninpäästö. Mitä minuun tulee, minua rakastetaan, olen saanut
takaisin sen miehen kunnioituksen, joka minun silmissäni edustaa
koko maailmaa: nyt voin kuolla.
— Te siis vielä rakastatte minua? — sanoi markiisi.
— Olenko sanonut sellaista? — vastasi Marie ilkkuen, ilolla
seuraten sen kidutuksen kehitystä, jonka alaiseksi heti
saapumishetkestä alkaen oli pakoittanut markiisin. — Eikö minun
kenties ole täytynyt tehdä uhrauksia tullakseni tänne? Olen
pelastanut kreivi de Bouvanin kuolemasta, ja ollen kiitollisempi, hän
on korvaukseksi suojeluksestani tarjonnut minulle suojeluksensa,
omaisuutensa ja nimensä. Se ajatus ei koskaan ole johtunut teidän
mieleenne.
Markiisi, joka näistä sanoista joutui vallan suunniltaan, pidätti
rajuimman vihanpuuskauksen, mikä koskaan oli hänet vallannut, hän
kun luuli, että kreivi oli hänet pettänyt, eikä vastannut mitään.
— Kas vaan, te punnitsette asiaa? — virkkoi neiti de Verneuil
katkerasti hymyillen.
— Neiti, — vastasi nuori mies, — teidän epäilynne oikeuttaa minun
epäilyni.

- Lähtekäämme täältä! — huudahti neiti de Verneuil,
huomatessaan oviaukosta vilahdukselta rouva du Guan hameen.
Neiti de Verneuil nousi. Mutta halu saattaa kilpailijattarensa
epätoivoon sai hänet viipymään.
— Tahdotteko siis syöstä minut manalaan? — kysyi markiisi,
tarttuen hänen käteensä ja puristaen sitä voimakkaasti.
— Ettekö te syössyt minua siihen viisi päivää sitten? Ja ettekö tänä
hetkenä pidä minua mitä julmimmassa epätietoisuudessa
rakkautenne vilpittömyyteen nähden?
— Mutta enhän tiedä, vaikka kostonhimossanne menisitte niin
pitkälle, että tahtoisitte anastaa koko elämäni, sitä häväistäksenne,
sensijaan että väijyisitte henkeäni!…
— Ah, te ette minua rakasta: te ajattelette itseänne, ettekä minua!
— hän huudahti raivokkaana, vuodattaen kyyneleitä.
— No niin, — sanoi markiisi ollen suunniltaan, — ota henkeni,
mutta kuivaa kyyneleesi!
— Oi, rakkaani, — huudahti Marie tukehtuneesta, — noita sanoja,
tuota äänenpainoa ja tuota katsetta olen odottanut, asettaakseni
sinun onnesi edelle omasta onnestani! — Mutta, — hän jatkoi, —
pyydän teiltä viimeistä todistetta kiintymyksestänne, jota sanotte niin
voimakkaaksi. En tahdo viipyä täällä hetkeäkään kauempaa, kuin
mikä on välttämätöntä tietääkseni, että te olette minun omani. En
joisi edes lasia vettä talossa, missä oleskelee nainen, joka kaksi
kertaa on yrittänyt surmata minut, joka kenties vielä hautoo jotain
petosta meitä kohtaan, ja joka tänä hetkenä salaa kuuntelee meitä,

— näin hän virkkoi, osoittaen markiisille rouva du Guan hameen
liikkuvaa poimua.
Sitten hän pyyhki kyyneleensä ja kumartui nuoren päällikön
korvan juureen, joka vavahti, tuntiessaan hänen hengityksensä
suloisen tuoksun.
— Valmistakaa kaikki matkaamme varten, — hän sanoi; —
saattakaa minut Fougères'en, vasta siellä saatte tietää, rakastanko
teitä! Toistamiseen uskon itseni teidän huostaanne. Voitteko te
toistamiseen uskoa itsenne minulle?
— Oi, Marie, te olette saattanut minut siihen tilaan, etten enää
ollenkaan tiedä, mitä teen. Teidän sananne, katseenne, sanalla
sanoen te itse huumaatte minut, ja olen valmis tyydyttämään
tahtonne.
— No hyvä, saattakaa minut hetkeksi ylen onnelliseksi! Antakaa
minun nauttia siitä ainoasta riemuvoitosta, jota olen toivonut.
Tahdon täydesti hengittää raitista ilmaa, keskellä sellaista elämää,
jota olen uneksinut, ja nauttia harhaluuloistani, ennenkuin ne
haihtuvat. Tulkaa, ja tanssikaa kanssani.
He palasivat yhdessä tanssisaliin, ja vaikka neiti de Verneuil tunsi
sydämensä ja ylpeytensä niin imarrelluiksi kuin nainen suinkin
saattaa toivoa, niin kuitenkin hänen silmiensä lempeyttä uhkuva
sulo, hänen huultensa hieno hymy, vilkkaan tanssin nopea tahti —
kaikki tämä verhosi hänen ajatustensa salaisuuden, samoin kuin meri
kätkee sen rikollisen salaisuuden, joka on sen syvyyteen upottanut
kuolleen ruumiin. Kuitenkin koolla oleva seura päästi ihailun sorinan,
kun hän valssin pyörteissä kieriskeli, nojaten rakastajansa
käsivarsiin, ja kun nämä molemmat, silmät lemmenkaihoisina, päät

Welcome to our website – the ideal destination for book lovers and
knowledge seekers. With a mission to inspire endlessly, we offer a
vast collection of books, ranging from classic literary works to
specialized publications, self-development books, and children's
literature. Each book is a new journey of discovery, expanding
knowledge and enriching the soul of the reade
Our website is not just a platform for buying books, but a bridge
connecting readers to the timeless values of culture and wisdom. With
an elegant, user-friendly interface and an intelligent search system,
we are committed to providing a quick and convenient shopping
experience. Additionally, our special promotions and home delivery
services ensure that you save time and fully enjoy the joy of reading.
Let us accompany you on the journey of exploring knowledge and
personal growth!
ebookluna.com